– – – ASIC FLOW – – –

Agnathavasi
2 min readMay 10, 2023

--

. 🔴ASIC Design Flow🔴

🟪

ASIC (Application-Specific Integrated Circuit) design flow is a complex process that involves several stages, each of which requires significant expertise and experience in the field of chip design. Here is a brief overview of the ASIC design flow🟪

🟢Specification: The first step in the ASIC design flow is to define the requirements of the chip. This involves understanding the application for which the chip is being designed, its performance requirements, power consumption, size, and other specifications.

🟢Architecture Design: Once the requirements are defined, the next step is to develop a high-level architecture for the chip. This involves identifying the major functional blocks of the chip, the interconnections between them, and the data flow through the chip.

🟢RTL Design: RTL (Register Transfer Level) design involves creating a detailed design of the chip using a hardware description language (HDL) such as Verilog or VHDL. The RTL design specifies the behavior of each block in the chip and how they interact with each other.

🟢Verification: The RTL design is then subjected to a series of tests to ensure that it meets the requirements and specifications defined in the first step. Verification involves simulation, formal verification, and hardware emulation.

🟢Synthesis: Once the RTL design is. verified, it is synthesized to create a gate-level netlist. Synthesis involves converting the RTL code into a gate-level representation that can be implemented in hardware.

🟢Place and Route: In the place and route stage, the gate-level netlist is mapped to the physical layout of the chip. This involves placing the gates on the chip and routing the interconnects between them.

🟢Physical Verification: After the place and route stage, the physical design is checked for any design rule violations, timing violations, or other errors. This involves several checks, including Design Rule Check (DRC), Layout vs. Schematic (LVS) check, and Electrical Rule Check (ERC).

🟢Tape out: Once the physical design is verified, the final design data is sent to the foundry for manufacturing. This stage is called tape out, and it involves creating the photomasks required for the fabrication process.

🟢Testing and Packaging: After the chip is manufactured, it undergoes several testing procedures to ensure that it meets the specifications. Once it is tested, the chip is packaged and made ready for deployment in the final application.

. 🔴 SUMMARY 🔴

ASIC design flow involves several stages, including specification, architecture design, RTL design, verification, synthesis, place and route, physical verification, tape out, and testing and packaging. The entire process requires significant expertise and experience in chip design and can take several months to complete.

--

--