Installation Iverilog + Gtkwave

Using Icarus Verilog and GTKWave for Verilog Simulations: A Step-by-Step Guide

HARI PREETH D M
2 min readJun 22, 2024

Verilog simulations are essential for verifying digital designs before hardware implementation. Icarus Verilog is a popular open-source Verilog simulator, and GTKWave is a waveform viewer that allows you to visualize signal changes in your simulation. This guide will walk you through installing these tools, setting up a simple Verilog simulation, and visualizing the results with GTKWave.

Installing Icarus Verilog and GTKWave

Installing Icarus Verilog

Icarus Verilog is a Verilog simulation and synthesis tool. Here’s how to install it on different operating systems:

Installation Steps

  1. For Linux: (Ubuntu)
sudo apt-get update
sudo apt-get install iverilog

2. For macOS:

brew install icarus-verilog

3. For Windows:

Icarus Verilog can be installed via Cygwin or Windows Subsystem for Linux (WSL). Follow the instructions on the Icarus Verilog website for Windows setup. (Preferred — WSL)

Installing GTKWave

GTKWave is a waveform viewer that works well with Icarus Verilog.

Installation Steps

  1. For Linux: (Ubuntu)
sudo apt-get update
sudo apt-get install gtkwave

2. For macOS:

brew install gtkwave

3. For Windows:

  • Download the GTKWave installer from the GTKWave official website.
  • Run the installer and follow the instructions.

Creating a Simple Verilog Design and Simulating with Icarus Verilog

In this section, we’ll demonstrate how to Install the Icarus Verilog, and GTKWave. For a comprehensive understanding of Verilog design examples, setup instructions, and more detailed explanations, please refer to our previous blog post on Verilog design for in-depth guidance.

Conclusion

In this guide, you’ve learned how to install and use Icarus Verilog for simulating Verilog designs and GTKWave for visualizing simulation results. By following these steps, you can effectively simulate and verify digital designs, making the development process smoother and more reliable.

Now you’re ready to explore more complex Verilog designs and use these tools to enhance your digital design projects.

--

--