Introducing Cadence IMC: The Ultimate Solution for Coverage Closure

eInfochips ( An Arrow Company)
3 min readAug 4, 2023

--

When it comes to design verification, ensuring thorough coverage is crucial. Traditional coverage metrics serve as a confidence-building measure, allowing us to gauge the quality of verification. However, closing in on coverage numbers can be a daunting task, especially for complex System on Chip (SoC) or Intellectual Property (IP) designs. This is where Cadence IMC (Incisive Metric Center) comes to the rescue.

Cadence IMC is a powerful tool that provides a range of coverage commands to simplify and expedite the coverage closure process. In this article, we will explore some of the hidden but highly effective coverage commands supported by Cadence IMC and how they can revolutionize your verification efforts. One of the most time-consuming and manual tasks in coverage closure is the exclusion of signals from toggle coverage.

Traditionally, excluding a signal from multiple instances or modules required tedious manual effort. However, Cadence IMC offers two powerful commands — set_toggle_excludefile and set_toggle_smart_refinement — that can alleviate this burden. The set_toggle_excludefile command allows you to efficiently exclude toggle coverage of specific signals from your design. By listing the signals to be excluded in a file and passing it to the command, you can automatically exclude them from all instances/modules. The tool generates an output log file that lists the excluded signals, eliminating the need for manual exclusion. On the other hand, the set_toggle_smart_refinement command enables smart exclusion of signals for toggle coverage. By simply passing this command in Cadence IMC, you gain access to the “Exclude Smart” option, which automatically traces the connectivity of the excluded signal and excludes all connected signals. This command streamlines the exclusion process, saving you valuable time and effort. Another challenge in coverage closure is the manual adjustment of exclusion files (.vRefine) when the design undergoes changes.

Cadence IMC addresses this issue with the set_refinement_resilience command. This command automatically adjusts the exclusion file by comparing it with the new design file, accommodating exclusions from the previous design. This eliminates the need for repetitive manual exclusions, making the process more efficient and less error prone. Cadence IMC also provides toggle scoring commands for design signals, allowing you to perform comprehensive toggle coverage analysis. The set_toggle_portsonly command enables toggle scoring for module ports only, while the set_toggle_noports command excludes module ports and focuses solely on internal signals. These commands ensure that toggle coverage is captured accurately and efficiently, based on your project requirements.

In conclusion, Cadence IMC is a game-changer in coverage closure, offering a range of powerful commands that simplify and speed up the process. By leveraging these commands, you can eliminate manual efforts, streamline the exclusion process, and perform comprehensive toggle coverage analysis. At eInfochips, as a Verification Alliance Partner for Cadence, we have extensive expertise in Cadence tools and offer design verification services and consultation.

Contact our experts today to learn more about implementing Cadence IMC and optimizing your coverage closure process.

--

--

eInfochips ( An Arrow Company)

eInsights: Read here to get insights on solutions that drive the Product Engineering Services. We love dissecting technologies and market trends in our blogs.