Useful VLSI Resources

Abhishek Jain
Better Engineer
Published in
2 min readJan 30, 2020
Photo by Slejven Djurakovic on Unsplash

I have collected some websites which are very useful to understand important VLSI concepts and try those hands on.

Originally published on my Quora blog here:

EDAPlayground

  • This is an online EDA tools for compiling and simulating your Verilog, SystemVerilog, OVM and UVM code.
  • They also have a nice waveform viewer now.
  • This is very helpful when you want to quickly test your code.
  • They support Cadence, Synopsys and other tools.
  • Free tool.

Makerchip

  • This is another similar tool with few more features like diagram viewing.
  • This tool is from Redwood EDA who are also big supporters of Verilog TL.
  • It is an interesting new skill to have.
  • Free tool.

UVM Resources

MyHDL

  • Python coding for VLSI
  • Open source

DVT Eclipse

  • Eclipse based IDE for Verilog/ SystemVerilog/C/UVM/OVM
  • Crazy smart tool
  • Not Free

ClueLogic

  • Nice UVM tutorial

Chipress

  • VLSI Interview prep website

ASIC WORLD

  • Good material to learn fundamentals of Verilog, SystemVerilog, SystemC

Testbench.in

  • Verification focused material
  • Useful for quick introduction to various topics

SemiEngineering

  • Interesting articles, news , discussions

SemiWiki

  • Interesting articles, news, discussions

Would you like to add something to the list?

--

--