From Chips to Chassis: The Role of Semiconductors in Modern Automotive Engineering — Part3

Kiran Bulusu
13 min readJun 28, 2024

--

Generated by Dall-E. Keyword used to generate the image: EV, Semiconductor, Futuristic with high speed interface

Welcome to third of our four-part series on the pivotal role of semiconductor technology in the automotive industry. This comprehensive exploration delves into how advanced semiconductor solutions are shaping the evolving demands of modern vehicles. Here’s an overview of what each part covers:

· Part 1: Introduction and Overview
Begin your journey with an in-depth look at the essential role of automotive nodes. This section compares automotive nodes to general-purpose nodes and discusses their key technical parameters, setting the foundation by emphasizing the specialized requirements of semiconductors in automotive applications.

· Part 2: Automotive Node Definition and Comparison
Delve into the specifics of what defines an automotive node, how it contrasts with general-purpose nodes, and the critical technical parameters that distinguish it. This part focuses on the unique challenges and standards that automotive semiconductors need to meet.

· Part 3: Automotive IP and Design Infrastructure
This part explores the extensive infrastructure surrounding automotive semiconductors, including intellectual property (IP) and design frameworks. It also covers the rigorous compliance and safety standards essential for automotive applications.

· Part 4: Future Trends and Strategic Importance
Conclude the series with a forward-looking perspective on the strategic importance of a resilient supply chain and the emerging trends in automotive semiconductors. This final installment reflects on how ongoing innovations and collaborations are poised to transform the automotive landscape.

Part3: Automotive chiplets, IP and Design Infrastructure

This section outlines the frameworks and standards guiding the design and implementation of automotive-specific semiconductor IPs. It addresses how these components meet stringent industry requirements, focusing on safety and efficiency. It details the methodologies for achieving ASIL compliance and the role of design for testability in ensuring reliability.

Automotive Chiplets

Introduction: The concept of chiplets — smaller, modular chips that can be integrated into a single package — is revolutionizing the automotive semiconductor industry. This approach offers more flexible and scalable designs, enabling manufacturers to customize semiconductor solutions to meet specific automotive needs. However, achieving chiplet interoperability in automotive systems presents several technical hurdles that must be addressed to realize this technology’s potential benefits fully.

Source: https://community.cadence.com/cadence_blogs_8/b/corporate/posts/the-automotive-industry-s-next-leap-why-chiplets-are-the-fuel-for-innovation

Main Technical Hurdles in Achieving Chiplet Interoperability

  • Standardization of Interfaces:
    – Challenge: The need for standardized die-to-die interfaces complicates the integration of chiplets from different vendors.
    – Solution: Industry initiatives like the Universal Chiplet Interconnect Express (UCIe) and Advanced Interface Bus (AIB) are working towards creating standardized interfaces that ensure compatibility and interoperability between chiplets.
    - Example: UCIe provides a common die-to-die interconnect standard that supports multiple protocols, including PCIe and CXL, facilitating seamless integration of chiplets from different sources.
  • Interconnect Technologies
    -
    Challenge: High-bandwidth, low-latency interconnects are crucial for efficient chiplet communication, but achieving this requires advanced packaging and interconnect technologies.
    -Solution: Technologies like 2.5D and 3D integration, along with high-density interposers, are being developed to support the necessary interconnect bandwidth and latency requirements.
    - Example: Intel’s Embedded Multi-die Interconnect Bridge (EMIB) technology enables high-bandwidth communication between chiplets without needing a full silicon interposer.
  • Communication Protocols
    -
    Challenge: Ensuring chiplets can communicate effectively requires robust and standardized communication protocols.
    - Solution: MIPI A-PHY and UCIe are developed to provide reliable, high-speed communication between chiplets.
    - Example: MIPI A-PHY is designed for long-reach, high-speed communication in automotive applications, supporting data rates up to 16 Gbps per lane.
  • Power and Thermal Management
    - Challenge: Managing power distribution and thermal dissipation in a compact chiplet-based design is complex.
    - Solution: Advanced power management techniques and thermal solutions, such as integrated liquid cooling and phase-change materials, are essential.
    - Example: Chiplets can be designed with dedicated power management units (PMUs) to optimize power distribution and reduce thermal hotspots.
  • Security
    - Challenge: Ensuring the security of chiplet-based systems is critical, especially in automotive applications where safety is paramount.
    - Solution: Implementing hardware security modules (HSMs) and secure boot mechanisms within chiplets can enhance system security.
    - Example: Dedicated security chiplets can provide cryptographic functions and secure key storage, protecting the system from unauthorized access and tampering.
  • Testing and Verification
    - Challenge: Verifying the functionality and reliability of individual chiplets and the integrated system is challenging.
    - Solution: Advanced testing methodologies, such as Known Good Die (KGD) testing and system-level simulation, are required to ensure the quality and reliability of chiplets.
    - Example: Using EDA tools for system-level simulation can help model and verify the thermal, electrical, and mechanical aspects of multi-chiplet systems.
  • Ecosystem Collaboration
    - Challenge: Building a robust ecosystem that includes developers, foundries, OSATs, and material and equipment suppliers is essential for successful chiplet integration.
    - Solution: Collaborative efforts and industry consortia, such as the chiplet Design Exchange (CDX) working group, foster interoperability and standardization.
    - Example: The CDX working group, part of the Open Domain-Specific Architecture (ODSA), is focused on developing open standards and best practices for chiplet integration.

Enhancing Efficiency of Automotive Systems with Chiplets

Chiplets enhance the efficiency of automotive systems by enabling modular and scalable designs. This modularity allows for integrating specialized components optimized for specific functions, improving overall system performance and efficiency. For example, integrating dedicated AI accelerators as chiplets can significantly boost the performance of ADAS and autonomous driving systems.

Contribution to the Electrification of Vehicles

Chiplets play a significant role in the electrification of vehicles by enabling more efficient power management solutions. For instance, integrating power management ICs (PMICs) and other power electronics components as chiplets can optimize the efficiency of electric powertrains. Additionally, chiplets can enhance battery management systems (BMS) performance and reliability by integrating specialized components for monitoring and controlling battery cells.

Impact on Development Time for New Automotive Technologies

Using chiplets can significantly reduce the development time for new automotive technologies. By allowing the reuse of existing chip designs and enabling concurrent design and assembly of multiple chips, chiplets accelerate the development process. This modular approach facilitates rapid prototyping and testing, allowing quicker iterations and improvements.

Cost Economics

Chiplets offer significant cost advantages over traditional monolithic SoC designs. Reusing existing chip designs and leveraging mature process nodes can reduce non-recurring engineering (NRE) costs and manufacturing expenses. Additionally, smaller chiplets yield higher than large monolithic dies, reducing the overall cost per functional unit.

Thermal Challenges

Source: Ansys, Intel Foundry Collaborate on Solution for EMIB 2.5D Assembly

The compact nature of chiplet-based designs introduces thermal management challenges. Advanced cooling techniques, such as integrated liquid cooling and phase-change materials, are essential to managing the thermal load in chiplet-based systems. Accurate thermal modeling and simulation ensure that chiplet-based designs can operate reliably under automotive conditions.

Security Aspects

Security is a critical consideration in automotive chiplet designs. Implementing hardware security modules (HSMs) and secure boot mechanisms within chiplets can enhance system security. Dedicated security chiplets can also provide cryptographic functions and secure key storage, protecting the system from unauthorized access and tampering.

Redundancy, Monitoring, Test, and Repair

Chiplet architectures can facilitate the implementation of redundant systems and fault-tolerant designs by allowing easy replication of critical components. Preventive monitoring ensures the integrity and functionality of systems composed of multiple chiplets. Regular diagnostics and testing are essential to maintain hardware performance over time. Advanced testing methodologies, such as Known Good Die (KGD) testing and system-level simulation, are required to ensure the quality and reliability of chiplets.

Standards and Industry Initiatives

Several industry initiatives and standards are being developed to address the challenges of chiplet interoperability:

- Universal Chiplet Interconnect Express (UCIe): Provides a common die-to-die interconnect standard that supports multiple protocols, facilitating seamless integration of chiplets from different sources.

- Advanced Interface Bus (AIB): Developed by Intel, AIB is a high-bandwidth, low-latency interconnect standard for chiplet communication.

- MIPI A-PHY: Designed for long-reach, high-speed communication in automotive applications, supporting data rates up to 16 Gbps per lane.

Achieving chiplet interoperability in automotive systems presents several technical hurdles, including standardization of interfaces, interconnect technologies, communication protocols, power and thermal management, security, testing, and ecosystem collaboration. However, overcoming these challenges can unlock the full potential of chiplets, enhancing the efficiency, performance, and cost-effectiveness of automotive systems. As the technology matures and standards evolve, chiplet-based designs are poised to become a cornerstone of next-generation automotive electronic systems, enabling the advanced features and capabilities demanded by modern and future vehicles.

Automotive IP and Design Infrastructure

To support the design and development of automotive ICs, semiconductor intellectual property (IP) companies provide a range of solutions tailored for the automotive industry:

Automotive-qualified IPs: Analog, memory interface, high-speed interfaces that we talked earlier, and security IPs are qualified for specific automotive temperature grades and undergo rigorous reliability testing.

ASIL-compliant IPs: IPs are designed and verified to meet specific ASIL levels, incorporating safety mechanisms such as redundancy, error-correcting codes (ECC), and built-in self-tests (BIST) for fault detection and mitigation, as required.

Automotive design infrastructure: Design tools and methodologies are enhanced to support AEC-Q100-aware sign-off, Design for Test (DFT) with zero defects per million (DPPM) targets, thermal-aware electromigration analysis, and aged library characterization for automotive applications. These enhancements ensure that the design flow accounts for the specific requirements and operating conditions of automotive ICs.

Functional safety methodologies: Methodologies for fault injection simulation, safety mechanism insertion, and soft error rate (SER) analysis are provided to enable comprehensive functional safety verification and compliance with ISO 26262 requirements.

These automotive-specific IPs and design infrastructure enable semiconductor companies to develop reliable, safe, high-performance automotive ICs while adhering to industry standards and best practices.

Integration of High-Speed Communication Standards

The deployment of high-speed communication protocols such as Ethernet, PCIe SerDes, and the emerging MIPI A-PHY in automotive applications marks significant advancements in vehicle technology. These standards are pivotal in supporting the complex data throughput demands of modern vehicles, especially in applications like Advanced Driver-Assistance Systems (ADAS) and autonomous driving technologies.

  1. Automotive Ethernet: Automotive Ethernet transforms vehicle network architectures with its ability to support faster data transfer speeds, crucial for functions such as real-time video streaming from cameras, sensor fusion data processing, and vehicle-to-everything (V2X) communications. Its scalability and cost-effectiveness make it an ideal choice for automotive networks that require robust data-handling capabilities. The evolution of Ethernet with speeds of up to 10 Gbps under the IEEE 802.3ch standard significantly enhances capabilities, particularly in high-demand applications like multi-camera ADAS systems and high-definition infotainment systems.
  2. PCIe SerDes: PCIe (Peripheral Component Interconnect Express) utilizes Serializer/Deserializer (SerDes) technology to facilitate high-speed data communication between various Electronic Control Units (ECUs) within a vehicle. This is particularly crucial in high-performance computing tasks required in autonomous vehicles, where rapid and reliable data transmission is paramount. The advantages of PCIe SerDes include not only high bandwidth capabilities but also lower latency and simplified integration for point-to-point connections, making it suitable for real-time applications like surround-view camera systems.
  • High-Bandwidth and Low-Latency Connectivity: PCIe technology in automotive applications is highlighted for its high-bandwidth and low-latency capabilities, which are crucial for server-class connectivity within vehicles. This aligns with the need for efficient data handling in advanced vehicle architectures, especially as the number of sensors and the volume of data increase.
  • Advantages of PCIe in Automotive: PCIe offers a natural I/O bus for many SoCs and controllers, providing an open standard with a vast ecosystem, extremely low latency (hundreds of nanoseconds), reliable transport protocol, scalable bandwidth (up to 32 Gbps per lane with possibilities for more lanes), and support for various topologies like chip-to-chip, backplane, and cables.
  • Use Cases and Connectivity: PCIe is particularly effective for both long-reach (inter-ECU) and short-reach (intra-ECU) applications, supporting modular designs through backplane systems and providing high-speed connections that are essential for complex multi-ECU systems found in modern vehicles.
  • Signal Conditioning: The importance of signal conditioning in maintaining signal integrity over longer cable runs was noted, with technologies such as redrivers and retimers extending the PCIe reach. These tools help overcome insertion loss, jitter, crosstalk, reflections, and skew, which are critical for ensuring reliable high-speed data transmission in automotive environments.
  • Challenges and Long Reach Considerations: The document discusses challenges specific to long-reach PCIe applications, including higher channel loss and issues with inline connectors. These challenges necessitate robust design considerations to ensure that the PCIe links can handle the automotive environment’s dynamic noise profile and physical constraints.

Incorporating these points could provide a more detailed understanding of PCIe SerDes’ role in automotive applications, emphasizing its suitability for modern vehicle architectures that require robust, scalable, and high-speed data transmission solutions.

3. MIPI A-PHY: The MIPI Alliance’s A-PHY standard provides a robust solution for automotive SerDes that offers high-speed data transmission up to 16 Gbps with a roadmap extending to 48 Gbps. MIPI A-PHY’s long-reach capabilities (up to 15 meters) and high noise immunity make it particularly advantageous for vehicle architectures that require reliable data transmission across long distances, such as between a rear-view camera and the central processing unit. MIPI A-PHY also supports time-sensitive networking (TSN) and native integration of camera and display protocols, which streamlines system design and enhances reliability.

Asymmetric vs. Symmetric Data Transfer and Time Sensitivity:

  • The nature of data flow in automotive applications is predominantly from sensors to processors, not requiring significant upstream data transmission. This asymmetric data transfer is where Automotive SerDes technologies gain an edge, providing higher bandwidth from source to sink and a lower-bandwidth back channel, aligning well with automotive application requirements. In contrast, Ethernet’s symmetric bandwidth may not be as efficient for such use cases.
  • Time sensitivity in automotive networks is critical, especially for safety functions where delays can have serious repercussions. MIPI A-PHY’s time-sensitive capabilities ensure timely and reliable data transport essential for ADAS and autonomous driving functionalities.

Zonal Architectures and Cost Implications: With the shift towards zonal architectures in vehicles, where sensors and actuaries are grouped into zones rather than being directly connected to a central unit, the demand for flexible, high-bandwidth communication backbones like Automotive Ethernet increases. This supports efficient inter-zone communication and can help reduce cabling costs — a significant factor in electric vehicles where reducing weight and manufacturing costs is crucial.

Future Outlook and Standards Evolution: The automotive industry’s trajectory towards more autonomous and connected features continues to drive the need for sophisticated in-vehicle networking standards. The ongoing development of Automotive Ethernet standards, alongside the refinement of PCIe SerDes specifications and the emergence of MIPI A-PHY, is crucial. These technologies must adapt to handle increasing data bandwidth requirements while also addressing the industry’s push towards lower power consumption and greater cost efficiency.

By investing in and refining these communication technologies, automakers can ensure that the vehicles of tomorrow are not only capable of meeting the rising demands of drivers and regulatory bodies but also lead in the adoption of cutting-edge technologies that enhance connectivity and safety.

Advanced Semiconductor Packaging

In the competitive field of semiconductor manufacturing, advanced packaging technologies play a pivotal role, especially in the automotive sector, where performance, reliability, and miniaturization are critical. Intel and TSMC are at the forefront of this innovation, developing technologies that redefine chip architecture.

Intel’s Packaging Technologies:

· EMIB (Embedded Multi-die Interconnect Bridge): Allows heterogeneous integration of diverse computing resources into a single package, which is crucial for performance and efficiency in automotive applications.

· Foveros Direct: Intel’s direct bond interconnect technology enables 3D stacking of logic chips, significantly enhancing interconnect density and reducing power usage.

· Co-EMIB: Co-EMIB is a new Intel architecture that blends EMIB and Foveros. It is essentially a way of combining two existing Intel packaging technologies: EMIB and Foveros. EMIB bridges two chiplets over a short distance horizontally using a small piece of silicon embedded in a package’s organic substrate. Co-EMIB allows for the horizontal interconnection of two or more Foveros elements with essentially the performance of a single chip. It also provides memory and analog logic to be connected at high bandwidth and low power.

EMIB 3.5D

TSMC’s Packaging Technologies:

· COWOS (Chip on Wafer on Substrate) Integrates multiple chips onto a single wafer and mounts this onto a substrate, which is beneficial for creating compact, high-performance semiconductor modules.

· InFO (Integrated Fan-Out) is TSMC’s advanced packaging technology. It enhances chip performance by reducing resistance and capacitance at the interconnect points, which is crucial for high-frequency automotive applications.

Source: TSMC To Invest $16 Billion Into Six New CoWoS Facilities In Taiwan As It Anticipates Huge AI Demand (wccftech.com)

These advanced packaging solutions from Intel and TSMC push the boundaries of semiconductor performance and address the automotive industry’s stringent requirements, including improved power efficiency, enhanced thermal management, and greater system integration.

Up Next: Part 4 concludes our series by looking at the future directions of the automotive semiconductor industry, emphasizing the strategic importance of supply chain resilience and the adoption of advanced semiconductor packaging technologies.

References/Further Reading:

References/Further Reading:

1. IEEE 802.1AS standard for time-sensitive networking

2. A Technology Trifecta for Automotive | GlobalFoundries

3. How Are Process Nodes Defined? ExtremeTech

4. Logic Node — Process Technology — Samsung Semiconductor

5. Reimagining PVT Monitoring IP For Advanced Node GAA Process

6. Applied Materials Outlines Next-Gen Tools for 3nm and GAA

7. All you need to know about GAA chip manufacturing process — EDN

8. Impact Of GAA Transistors At 3/2nm — Semiconductor Engineering

9. GAA-FET architecture provides better SCE — Power Electronics News

10. Driving Semiconductor Performance with Gate-All- Around (GAA)

11. Power Management for Autonomous Driving Systems

12. Development of an Energy Efficient and Cost Effective Autonomous Vehicle Research Platform

13. Overall system power consumption vs. number of vehicles at different velocities

14. Need for Thermal Management in Automotive Electronics

15. Foundation IP for Automotive: so Stringent Quality Requirements!

16. Automotive Grade Quality Products (AEC-Q100 and Beyond)

17. Foundry perspective on the automotive semiconductors market

18. TSMC offers advice to automakers from a foundry’s perspective

19. Foundries Accelerate Auto Efforts — Semiconductor Engineering

--

--

Kiran Bulusu

Bridging Custom Silicon to AI & Digital Health - Deep in Semiconductors, Cloud, and AI/ML Innovations.