From Chips to Chassis: The Role of Semiconductors in Modern Automotive Engineering — Part4

Kiran Bulusu
12 min readJun 28, 2024

--

Generated by Dall-E. Keyword used to generate the image: EV, Semiconductor, Futuristic with high speed interface

Welcome to fourth and final part of our four-part series on the pivotal role of semiconductor technology in the automotive industry. This comprehensive exploration delves into how advanced semiconductor solutions are shaping the evolving demands of modern vehicles. Here’s an overview of what each part covers:

· Part 1: Introduction and Overview
Begin your journey with an in-depth look at the essential role of automotive nodes. This section compares automotive nodes to general-purpose nodes and discusses their key technical parameters, setting the foundation by emphasizing the specialized requirements of semiconductors in automotive applications.

· Part 2: Automotive Node Definition and Comparison
Delve into the specifics of what defines an automotive node, how it contrasts with general-purpose nodes, and the critical technical parameters that distinguish it. This part focuses on the unique challenges and standards that automotive semiconductors need to meet.

· Part 3: Automotive Chiplets, IP and Design Infrastructure
This part explores the extensive infrastructure surrounding automotive semiconductors, including intellectual property (IP) and design frameworks. It also covers the rigorous compliance and safety standards essential for automotive applications.

· Part 4: Future Trends and Strategic Importance
Conclude the series with a forward-looking perspective on the strategic importance of a resilient supply chain and the emerging trends in automotive semiconductors. This final installment reflects on how ongoing innovations and collaborations are poised to transform the automotive landscape.

Part 4: Future Trends and Strategic Importance

Introduction: This final installment of our series addresses the future of the automotive semiconductor industry, focusing on both the challenges and opportunities that lie ahead. It explores the vulnerabilities in the supply chain and the driving forces of innovation within the sector. This part also emphasizes the importance of advanced packaging technologies, industry standards, and strategic alliances. Detailed case studies illustrate these points vividly. The section concludes with a recap of key insights and a forward-looking perspective on the automotive semiconductor landscape.

Strategic Importance of a Resilient Supply Chain in the Automotive Semiconductor Industry

The supply chain’s resilience in the automotive semiconductor industry is not just a logistical concern but a strategic imperative. Recent global disruptions have underscored the vulnerability of relying on a concentrated supply chain. This realization led to significant legislative actions like the European Union’s Chips Act and the U.S. CHIPS Act, which aim to bolster semiconductor production capabilities within their respective regions.

EU Chips Act and U.S. CHIPS Act:

· EU Chips Act: Aims to enhance Europe’s competitiveness in the semiconductor sector by encouraging research, design, and manufacturing within the EU. The Act supports the goal of doubling Europe’s share in the global semiconductor manufacturing market by 2030.

· U.S. CHIPS Act: Focuses on revitalizing and expanding semiconductor manufacturing in the United States. It includes substantial funding for new semiconductor plants, research, and development to reduce dependency on Asian markets.

Strategic Diversification: It is crucial to strategically diversify semiconductor manufacturing across Europe, North America, and Asia. This approach mitigates risks such as geopolitical tensions, regional disruptions, or logistical challenges, ensuring a stable supply of semiconductors. Diverse manufacturing locations also help balance global economic influences and secure supply chains against single points of failure.

By embedding supply chain resilience as a strategic priority, companies can better manage risks, adapt to changing global dynamics, and meet the demanding requirements of the automotive industry.

Though it doesnt immediately come to many people mind especially when thinking technically, Sustainability is important. So choose your supply chain partner who shares similar vision and goals so everyone benefits. For example, we want our chips to consume less power or have long battery life. But it takes huge amount of power & water to manufacture these chips as well and so its very critical to have a partner who uses electricity from renewable sources.

Future Prospects and Challenges

Emerging Trends in Automotive Semiconductors

The automotive semiconductor industry is poised to continue its rapid evolution, driven by several key trends:

1. Autonomous Driving: As autonomous driving technologies advance, the need for sophisticated semiconductors that can handle complex computations and vast data processing will grow. This includes the development of neural networks and AI algorithms that can make real-time decisions based on sensor input.

2. Vehicle Electrification: The shift towards electric vehicles (EVs) is accelerating the demand for high-performance power management systems, battery management chips, and energy-efficient semiconductors that can optimize power consumption and extend battery life.

3. Increased Connectivity: The trend towards connected vehicles requires semiconductors that support high-speed data transmission and advanced cybersecurity measures to protect against hacking and data theft.

Source: https://www.chipestimate.com/PCIe-for-Automotive-DesignConDriveWorld-2021/Cadence/blogs/3531

Industry Standards and Collaborations

1. MIPI Alliance for Automotive: The MIPI Alliance, which includes semiconductor and automotive companies, has been developing standards for automotive applications, particularly for camera and display interfaces.

  • MIPI A-PHY is a long-reach SerDes physical layer interface for automotive applications. Companies like Valens Semiconductor and major automotive OEMs are adopting this standard for high-speed data transmission in vehicles, particularly for advanced driver-assistance systems (ADAS) and autonomous driving applications.

2. Arm and Automotive: Arm has increasingly focused on the automotive sector, collaborating with semiconductors and automotive companies.

  • Arm Safety Ready Program: Arm has developed the Safety Ready program, which includes processors designed for functional safety in automotive applications. Companies like NXP, Renesas, and automotive OEMs are adopting Arm-based solutions for ADAS and autonomous driving platforms.

3. Automotive Edge Computing Consortium (AECC): The consortium is a collaborative effort between automotive, telecom, and tech companies to develop an ecosystem for connected cars.

  • AECC Reference Architecture: The AECC has published a reference architecture for edge computing in automotive applications, which is being adopted by member companies including DENSO, Toyota, Intel, and Ericsson.

4. AUTOSAR partners with automotive manufacturers, suppliers, and tool developers to establish an open industry standard for E/E architectures.

  • AUTOSAR Adaptive Platform: The AUTOSAR Adaptive Platform is being adopted by companies like Bosch, Continental, and various automotive OEMs for high-performance computing ECUs in modern vehicles.
Source: https://www.eenewseurope.com/en/autosar-and-iso26262-a-new-approach-to-vehicle-network-design-and-automotive-safety/

5. Khronos Group’s OpenGL SC (Safety Critical) Working Group: This working group focuses on developing graphics and compute standards for safety-critical systems, including automotive applications.

  • OpenGL SC 2.0: Companies like Nvidia and automotive tier-1 suppliers are adopting OpenGL SC 2.0 for automotive HMI and instrument cluster applications.

These examples showcase how semiconductor and automotive companies collaborate on industry standards and adopt new technologies for advanced automotive applications. They cover a range of areas, including connectivity, processing, safety, and graphics, which are all crucial for modern automotive systems.

Case Studies and Examples

Case Study 1: BMW and Automotive Ethernet

BMW was one of the early adopters of automotive Ethernet technology. They have been using Broadcom’s BroadR-Reach Ethernet solution since 2015 in models like the BMW 7 Series for features such as surround-view cameras, driver assistance systems, and infotainment systems. Automotive Ethernet enables high-speed data transfer and low-latency communication between sensors, electronic control units (ECUs), and computing platforms, facilitating real-time processing and decision-making for advanced safety and self-driving capabilities.

Case Study 2: Hyundai and Automotive Ethernet

Hyundai has also been using automotive Ethernet in their vehicles since around 2015. They have implemented Broadcom’s BroadR-Reach technology in models like the Hyundai Genesis for features like rear-view cameras and infotainment systems. The use of automotive Ethernet in Hyundai vehicles enhances the performance and reliability of in-vehicle networks, supporting advanced driver assistance systems (ADAS) and high-definition multimedia content.

Case Study 3: Volkswagen and Automotive Ethernet

Volkswagen has been working on implementing automotive Ethernet in their vehicles since around 2018. They have used Marvell’s 88Q5050 Ethernet switch and PHY solutions for applications like ADAS, infotainment, and camera systems in models like the Volkswagen Golf. Automotive Ethernet provides these applications bandwidth and reliability, enabling seamless integration and data synchronization from multiple sensors and systems.

Case Study 4: Samsung and ADAS

Samsung’s Exynos Auto processors are designed to support advanced driver-assistance systems (ADAS) and autonomous driving. The Exynos Auto V9, for example, is used in Audi’s in-vehicle infotainment (IVI) systems, providing high-performance computing capabilities to handle multiple displays and complex ADAS functionalities.

Case Study 5: NXP and ARM Processors for ADAS

NXP Semiconductors has been collaborating with ARM to develop ADAS and autonomous driving processors. Based on ARM Cortex cores, the NXP S32G vehicle network processors are designed to handle the high data throughput and real-time processing requirements of ADAS applications.

Challenges Facing the Industry

While the prospects are promising, the automotive semiconductor industry faces several challenges that could impact its trajectory:

1. Supply Chain Vulnerabilities: The global semiconductor supply chain has shown signs of strain, highlighted by recent shortages that disrupted automotive production. Ensuring a stable supply of critical semiconductor components is crucial for the industry’s health.

2. Technological Complexity: The increasing complexity of semiconductor technology poses design, testing, and manufacturing challenges. Keeping up with the rapid pace of innovation while maintaining high standards of quality and reliability is a demanding task.

3. Regulatory and Safety Compliance: As vehicles become more dependent on electronic systems, regulatory bodies are likely to impose stricter safety and performance standards. Meeting these regulations while innovating and managing costs will be a delicate balance for semiconductor manufacturers.

4. Environmental Considerations: There is a growing focus on the environmental impact of manufacturing processes. The industry is becoming prioritized in developing more sustainable practices and reducing the ecological footprint of semiconductor production.

Opportunities for Innovation

Despite these challenges, there are significant opportunities for innovation within the industry. Companies that can leverage cutting-edge technologies to enhance vehicle performance and safety, improve energy efficiency, and reduce environmental impacts will lead the future of automotive semiconductors. Collaborative efforts between automakers and semiconductor companies will also be vital to addressing these challenges and driving the industry forward.

Conclusion

The automotive semiconductor industry is at the forefront of technological innovation, driving significant advancements in vehicle performance, safety, and connectivity. As we have explored throughout this white paper, integrating sophisticated semiconductor technologies such as high-speed communication protocols, advanced chiplet designs, and stringent compliance with safety and security standards are transforming the automotive landscape.

Key Points Recap:

Key Differences: General vs Automotive Nodes

Key Differences: General vs Automotive Nodes
+------------------------+----------------+------------------------------------------------------+
| Parameter | General Node | Automotive Node |
+------------------------+----------------+------------------------------------------------------+
| Process Qualification | Standard | AEC-Q100 Grade 1/2/3/4 |
| Reliability | Standard | Enhanced with aging models, thermal-aware analysis |
| Functional Safety | Not required | ISO 26262 ASIL B/D assessment |
| Temperature Resilience | Up to 85°C | Up to 150°C or higher |
| Design for Test (DFT) | Standard | Zero DPPM, cell-aware fault models, BIST/POST |
| Quality Management | Standard | IATF 16949 certified for automotive quality |
| Security | Standard | Configurable IPs for security levels |
| Power Management | Standard | BCD Power ICs, eFlash support |
| Transistor Type | FinFET, GAA | FinFET, GAA |
| HTOL | Standard | See below |
| Cost Considerations | Cost-Effective | Higher due to rigorous testing and certification |
| Node Availability | Leading nodes | Leading nodes and historically it been Mature nodes |
| | | |
+------------------------+----------------+------------------------------------------------------+

Automotive nodes enhance reliability, functional safety, quality, and security through stringent requirements.

Automotive Process Enhancements

· Tighter variability control (random dopants, line edge roughness)
· Optimized strain engineering and transistor dimensions
· Selective thick gate oxides or high-k dielectrics
· Lower resistivity metal alloys and barrier materials
· Embedded capacitors and power gating circuitry

Gate-All-Around (GAA) for Automotive

· Improved electrostatic control and scalability
· Lower operating voltages and leakage
· Increased drive current and performance
· Potential for new channel materials (SiGe, III-V)

Automotive IP and Design

· Analog, memory, interface, and security IPs for auto temperature grades
· ASIL-compliant IPs with safety mechanisms and redundancy
· AEC-Q100-aware design infrastructure and sign-off
· Functional safety methodologies

Automotive Quality Management

· IATF 16949 certification
· Rigorous development processes and documentation
· Enhanced defect detection and closed-loop corrections
· Stringent change management processes

Semiconductor companies can deliver reliable and safe automotive products by adhering to these qualifications, requirements, and methodologies.

· Demand Growth: The growing complexity of electronic systems in vehicles, particularly with the rise of electric vehicles (EVs) and autonomous driving technologies, has significantly increased the demand for high-performance semiconductors.

· Technological Advancements: Innovations such as automotive Ethernet, PCIe SerDes, and modular chiplet architectures have enhanced modern vehicles’ data processing capabilities and system integration.

· Standards and Compliance: Compliance with rigorous safety and security standards, including ASIL and EVITA, ensures that semiconductor technologies can be safely integrated into automotive applications, addressing potential risks associated with increasingly connected and autonomous vehicles.

· Challenges and Opportunities: The industry faces supply chain vulnerabilities, technological complexity, regulatory compliance, and environmental concerns. However, these challenges also present opportunities for innovation and collaboration between semiconductor manufacturers and automotive companies.

Looking Forward

As we witness transformative changes within the automotive semiconductor industry, many critical initiatives are underway. However, continuous improvement and sustained investments are essential to address emerging challenges and capitalize on new opportunities. Here’s a focused action plan that acknowledges ongoing efforts and identifies specific gaps:

Automakers:

  1. Expand Advanced Driver-Assistance Systems (ADAS): While adoption is growing, there is a need for broader integration across all vehicle segments. Continued investment in ADAS technologies will enhance overall road safety.
  2. Promote Software Defined Vehicles (SDV): Despite progress in vehicle software updates, there is a gap in comprehensive cybersecurity measures. Strengthening these aspects is essential for protecting against increasing cyber threats.

Semiconductor IP Providers:

  1. Accelerate Development of ASIL Compliant IPs: Although significant advancements have been made in safety-critical IP development, the variety and robustness of IPs must evolve with rising automotive complexity.
  2. Standardize IP Interoperability: Efforts are underway, but enhanced focus on interoperability standards will reduce development times and foster innovation, helping IPs to seamlessly integrate into diverse systems.

Design Infrastructure Companies:

· Enhance Design Tools for Advanced Semiconductor Processes: As semiconductor processes evolve to meet the demands of the automotive industry, design tools must also be upgraded to handle new materials and more complex integration challenges, ensuring that they support the latest advancements in AI and autonomous vehicle technologies.

· Adopt Adaptive Design Infrastructures: There’s a crucial need for design infrastructures that are highly adaptable to support evolving semiconductor technologies such as advanced sensors and high-performance computing components. These infrastructures should facilitate rapid prototyping and integration to accelerate development cycles and innovation in automotive electronics.

Regulatory Bodies:

  1. Update Guidelines for Autonomous and AI Technologies: Regulations are forming, but must continually evolve to keep pace with technological advances and ensure they comprehensively cover new ethical considerations.
  2. Promote Consistency in Global Standards: Existing efforts to harmonize standards are crucial but require ongoing collaboration to mitigate discrepancies that complicate compliance for global manufacturers.

Semiconductor Manufacturers:

  1. Sustain R&D Investments: Continuous research in scalable semiconductor technologies is necessary to keep pace with evolving vehicle architectures and consumer demands.
  2. Improve Manufacturing Precision: Achieving zero defects in manufacturing is an ongoing challenge. Persistent efforts are needed to refine processes towards achieving higher reliability standards.

Industry Standards and Alliances:

  1. Update Connectivity Protocols: While standards like Automotive Ethernet are being adopted, ongoing updates and investments are crucial to enhance data handling capabilities as vehicle connectivity demands grow.
  2. Enhance Cybersecurity Frameworks: Current standards provide a foundation, but as vehicles become increasingly connected, these standards must be vigorously updated to address new cybersecurity challenges.

Working Groups:

  1. Strengthen Industry Collaboration: Regular industry collaboration is vital but must be intensified to foster deeper innovation and faster adaptation of technologies.
  2. Lead Sustainable Manufacturing Initiatives: While there are efforts towards sustainability, more aggressive targets and collaborative projects are required to reduce the environmental impact significantly.

References:

Automotive Standards and Alliances

  1. https://www.mipi.org/specifications/a-phy
  2. https://www.khronos.org/openglsc/
  3. AUTOSAR (AUTomotive Open System ARchitecture)
  4. https://aecc.org/
  5. https://www.arm.com/solutions/automotive
  6. NXP S32G Vehicle Network Processors
  7. Samsung Exynos Auto V9
  8. Marvell’s Automotive Ethernet Solutions
  9. Broadcom’s Automotive Ethernet Solutions
  10. Valens Semiconductor and Intel Foundry Services Announce Strategic Relationship for Next Generation A-PHY Product
  11. https://www.arm.com/technologies/safety

Foundry Perspective:

  1. Foundry perspective on the automotive semiconductors market
  2. What does automotive qualification mean? (microcontrollertips.com)
  3. Energy Consumption of In-Vehicle Communication in Electric Vehicles
  4. ISO 26262 ASIL: How it is Determined for Automotive Applications
  5. What is ASIL (Automotive Safety Integrity Level)? — Synopsys
  6. Functional-safety analysis of ASIL decomposition for redundant automotive systems
  7. Safety + Security for Automotive SoCs with ASIL B Compliant
  8. Automotive Safety Compliance — Cadence
  9. ISO 26262 SOFTWARE COMPLIANCE IN THE AUTOMOTIVE
  10. A Guide to Automotive Safety Integrity Levels (ASIL)
  11. ISO 26262 ASIL: How it is Determined for Automotive Applications
  12. Challenges For Achieving Automotive Grade 1/0 Reliability In FCBGA And FcCSP Packages
  13. Understanding AEC-Q200: A Comprehensive Guide to Automotive

Semiconductor manufacturing:

1. Application Service — Automotive | Samsung Semiconductor USA

2. A Technology Trifecta for Automotive | GlobalFoundries

3. How Are Process Nodes Defined? ExtremeTech

4. Logic Node — Process Technology — Samsung Semiconductor

5. Reimagining PVT Monitoring IP For Advanced Node GAA Process

6. Applied Materials Outlines Next-Gen Tools for 3nm and GAA

7. All you need to know about GAA chip manufacturing process — EDN

8. Impact Of GAA Transistors At 3/2nm — Semiconductor Engineering

9. GAA-FET architecture provides better SCE — Power Electronics News

10. Driving Semiconductor Performance with Gate-All- Around (GAA)

11. Power Management for Autonomous Driving Systems

12. Development of an Energy Efficient and Cost Effective Autonomous Vehicle Research Platform

13. Overall system power consumption vs. number of vehicles at different velocities

14. Need for Thermal Management in Automotive Electronics

15. Foundation IP for Automotive: so Stringent Quality Requirements!

16. Automotive Grade Quality Products (AEC-Q100 and Beyond)

17. Foundry perspective on the automotive semiconductors market

18. TSMC offers advice to automakers from a foundry’s perspective

19. Foundries Accelerate Auto Efforts — Semiconductor Engineering

--

--

Kiran Bulusu

Bridging Custom Silicon to AI & Digital Health - Deep in Semiconductors, Cloud, and AI/ML Innovations.